CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CCD VHDL

搜索资源列表

  1. CCDOUT

    0下载:
  2. CCD信号由于其特殊性,一般不能有信号源产生,本程序采用VHDL语言,以ISE为开发平台,产生了模拟CCD信号的数字信号,只需经DA转换便能实现-CCD signal because of its uniqueness, not generally produce a signal source, the procedures used VHDL, ISE as a development platform, have CCD signal simulation of digital signa
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1085354
    • 提供者:刘小军
  1. CCD_TCD1205

    0下载:
  2. 用VHDL语言实现CCD图象采集系统,针对TCD1205线阵CCD传感器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8153
    • 提供者:xujingjing
  1. CCD-driver

    1下载:
  2. CCD芯片驱动VHDL程序,CCD型号TC253SPD -CCD chip driver VHDL program, CCD models TC253SPD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:415876
    • 提供者:路政西
  1. ICX408AL7.5M

    0下载:
  2. 基于CPLD的CCD驱动程序源码,本人已经测试过,配合单片机控制,就能实现CPLD对CCD的驱动控制和曝光控制-CPLD based on the CCD driver source, I have been tested with single-chip control, you can achieve CPLD driver for CCD control and exposure control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:545959
    • 提供者:linhao
  1. CCD_DRIVER

    0下载:
  2. verilog HDL语言,线性CCD1501D驱动程序,基于FPGA,其他线性传感器可参照修改。-verilog HDL language, linear CCD1501D driver, based on the FPGA, the other linear sensor can be modified by reference.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:293387
    • 提供者:liuyuetian
  1. Id_scanner

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:10509
    • 提供者:byungchan
  1. CCD_senior_design_final_report

    0下载:
  2. 一个基于FPGA和CCD的视觉处理硬件平台项目开发文档-The design is a first step towards a hardware implementation of the super-resolution algorithms and other multimedia projects.The design presented in this paper may be used as a platform for many multimedia and image pro
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:483152
    • 提供者:neversee
  1. CCD_Verilog_1014

    0下载:
  2. 数据采集系统中用CPLD驱动CCD的驱动程序,用于对CPLD编程。-Data Acquisition System with CCD drive CPLD driver for CPLD programming.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:6080
    • 提供者:lihui
  1. cpld_ccd

    0下载:
  2. 实现基于CPLD的CCD采集系统设计的VHDL源码,编译通过,-Implementation of the CCD acquisition system based on CPLD design of VHDL source code, compiles,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:66401
    • 提供者:蹇清平
  1. 2

    0下载:
  2. CCD信号采集系统的USB接口设计,CCD信号采集系统的USB接口设计-vhdl
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:192027
    • 提供者:sfsd
  1. YCbCr2RGB

    0下载:
  2. YCbCr到RGB的verilog代码,可从ccd直接转换-convertion code of YCbCr to RGB
  3. 所属分类:Special Effects

    • 发布日期:2017-03-30
    • 文件大小:1345
    • 提供者:zhong
  1. DE2_LCM_CCD_inverse

    0下载:
  2. DE2版自带的CCD驱动,将图像存储于SDRAM中-DE2 version comes with the CCD driver in the image stored in SDRAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3843456
    • 提供者:李博霖
  1. CCD

    1下载:
  2. 本设计主要用来进行图像采集处理,通过摄像头采集图像信息,经过插值算法后存储到外部SDRAM,然后读取图像数据,进行边缘滤波处理后经VGA输出到屏幕上。-This design is mainly used for image acquisition and processing,through the camera capture image information,after interpolation to the external memory after the SDRAM,and th
  3. 所属分类:Video Capture

    • 发布日期:2017-03-29
    • 文件大小:12348
    • 提供者:申永帅
  1. zx

    1下载:
  2. CCD图像的颜色插值算法研究及其FPGA实现 ,这是一篇论文,里面详细介绍了如何实现图像处理的方法-CCD color image interpolation algorithm and its FPGA implementation, which is a paper, which details how to implement image processing method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4648058
    • 提供者:
  1. CCD_CPLD

    1下载:
  2. 内部资料,CCD探头采集时序发生器,基于CPLD用VHDL编写,是学习CPLD和VHDL在实际工业应用中的实际案例。-Internal information, medical collection probe CCD Timing Generator, based on the CPLD using VHDL, CPLD and VHDL to learn practical industrial applications in the real case.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3585506
    • 提供者:
  1. CCDII

    0下载:
  2. VHDL编写的线阵CCD驱动源代码,稍作修改适用于大多数线阵CCD。-CCD driver source code, minor modifications apply to most of the linear array CCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:363138
    • 提供者:changjing
  1. UCCDD_TCD1200s

    0下载:
  2. 用VHDL语言实现CCD图象采集系统统,针对TCD1205线阵CCD传感器 -VHDL language system CCD image acquisition system, for TCD1205 linear CCD sensor
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-24
    • 文件大小:7961
    • 提供者:molingrang
  1. DoubleRoad

    0下载:
  2. 用VHDL编写的FPGA程序,运行在ISE中,仿真通过,设计一种CCD的采集方案-The FPGA program written in VHDL, run in the ISE, simulation, design a kind of CCD acquisition scheme
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1864
    • 提供者:Tom
  1. liner-CCD-sensor-driving-circuit

    0下载:
  2. 线阵CCD图像传感器驱动电路的设计 包含VHDL代码-the liner CCD sensor driving circuit include the VHDL code
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:113681
    • 提供者:ttfeng
  1. CCD_frequency_generator

    0下载:
  2. CCD工业相机六路频率发生器,VHDL语言实现,非Verilog HDL-CCD industrial camera image capture six-way frequency generator, VHDL language, non Verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:374641
    • 提供者:Alan
« 1 2»
搜珍网 www.dssz.com